[LPC] 1778在线升级,关于bin文件写入flash问题

2019-03-25 20:07发布

我在网上看到大多数的lpc的芯片在线升级都是APP程序编译生成bin文件只有一个,然后再将bin文件从APP程序的首地址写入跳转就ok了。  我现在做的情况有点特殊,我的引导程序存放地址为0x0000-0x3000,我的APP程序存放地址为0x4000-0xA000和0x48000-0x80000。因为地址0xA000-0x48000被用来存放其他数据(配置文件),所以我的APP程序就必须被分割成了两部分存放,所以生成的bin文件也变成了两个(见图片1)。现在我根据分散加载文件(如图片2),将第一个bin文件从地址0x4000写入写完后,将第二个文件从地址0x48000写入,两个文件都写入完后,再从引导程序跳转到APP程序。已经试验确认跳转的程序是ok的(通过将APP程序用keil仿真试验,发现能成功跳转到APP程序),但是,通过上述写到的运用引导程序写入bin文件后,并不能跳转。怀疑这种两个bin文件的写入不正确,求大神解答。跳转程序见图片3、图片4.
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
6条回答
outmanlol
2019-03-26 10:33
jplzl10000 发表于 2017-2-16 13:54
没看懂出了什么问题
是仿真时能运行到bin文件
直接运行时不能?

是这样的,把APP程序用keil仿真时,程序跳转运行都ok,但把keil编译APP程序生成的bin文件写入flash,发现不能跳转。   我现在猜测是,仿真时,APP程序能在两部分区域跳转,是因为里面有些指引的东西。但当我把bin文件写入flash后,两部分区域没有办法跳转,因为bin里没有跳转指引的东西,或将跳转指引的东西强制当做数据使用了。导致写入flash后,根本就没有把他当做程序运行,而是当做了简单的数据。当然以上只是我的推测。不知道对不对?

一周热门 更多>