求八路彩灯循环控制单片机编程

2019-07-15 15:07发布

设计要求为  八个彩灯,从左到右依次亮至全亮,从左到右依次灭至全灭,从右到左依次亮至全亮,从右到左依次灭至全灭,全亮,全灭,全亮,全灭,按此顺序循环,每个灯亮的时间相同,为0.5-2s,求单片机编程和详细解释
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。