vdhl语言,请教咋编译不通过

2019-07-15 20:44发布

<span style="" >library ieee;
use ieee.std_logic_1164.all;


entity mcq is
    port (clk,r: in std_logic;
         out1: out std_logic);
  end;
architecture mcq_clk of mcq is
begin
   process (clk,r)
   begin
     if clk'event and clk='0'then
       out1<=r xor clk;
     else
       out1<='0';
     end if;
   end process;
end mcq_clk;


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。