<span style="" >library ieee;
use ieee.std_logic_1164.all;
entity mcq is
port (clk,r: in std_logic;
out1: out std_logic);
end;
architecture mcq_clk of mcq is
begin
process (clk,r)
begin
if clk'event and clk='0'then
out1<=r xor clk;
else
out1<='0';
end if;
end process;
end mcq_clk;
一周热门 更多>