调用ALTERA的FFT IP核,功能仿真与门级仿真结果相差很远,求大神解答?

2019-07-15 20:49发布

如题,调用altera公司的FFT IP核,用的是13.1版本,将modulsim仿真的结果输入到matlab画出频谱图,功能仿真结果没有问题,但门级仿真中除了原频率信息外,出现了很多不存在的频率信息,是因为时序的问题吗,按理说IP核经过时序验证,结果差异不可能这么大的啊,求大神解答。
RTL仿真
这个是RTL仿真
门级仿真
这个是门级仿真
小白真心求解

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。