大家好,我在用 Basys3 做一个简单的电路时,发现一个问题。
程序代码:
module Pmod_Top(
input clk,
output clkout
);
assign clkout = clk;
endmodule
约束文件:
- <div style="background-color: transparent; line-height: 30px;" class="nodeal"><span style="font-size: 18px; background-color: transparent; line-height: 30px;" class="nodeal">## Clock signal</span></div><div style="background-color: transparent; line-height: 30px;" class="nodeal"><div style="line-height: 30px;" class="nodeal"><span style="font-size: 18px; background-color: transparent; line-height: 30px;" class="nodeal">set_property PACKAGE_PIN W5 [get_ports clk]<span style="white-space: pre; background-color: transparent; line-height: 30px;" class="nodeal"> </span></span></div><div style="line-height: 30px;" class="nodeal"><span style="font-size: 18px; background-color: transparent; line-height: 30px;" class="nodeal"><span style="white-space: pre; background-color: transparent; line-height: 30px;" class="nodeal"> </span>set_property IOSTANDARD LVCMOS33 [get_ports clk]</span></div><div style="line-height: 30px;" class="nodeal"><span style="font-size: 18px; background-color: transparent; line-height: 30px;" class="nodeal"><div style="line-height: 30px;" class="nodeal">##Pmod Header JA</div><div style="line-height: 30px;" class="nodeal">##Sch name = JA1</div></span></div><div style="line-height: 30px;" class="nodeal"><span style="background-color: transparent; line-height: 30px; font-size: 18px;" class="nodeal"><div style="line-height: 30px;" class="nodeal">set_property PACKAGE_PIN J1 [get_ports clkout]<span style="white-space: pre; background-color: transparent; line-height: 30px;" class="nodeal"> </span></div><div style="line-height: 30px;" class="nodeal"><span style="white-space: pre; background-color: transparent; line-height: 30px;" class="nodeal"> </span>set_property IOSTANDARD LVCMOS33 [get_ports clkout]</div></span></div></div>
复制代码问题:
用示波器查看 JA1 口,发现上升沿和下降沿时间都太长了,导致波形严重失真。
有没有人知道为什么呢?在此感谢大家。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
一周热门 更多>