关于modelsim_altera仿真出错

2019-07-15 21:10发布

   不知道大家在使用modelsim_altera的时候,有没有遇到如图所示的情况。
我一开始以为是我的testbench文件编写有问题,后来我拿例程去进行仿真,也是同样的问题
    # MACRO ./fulladd_8_run_msim_rtl_verilog.do PAUSED at line 14。
   之前我遇到这样的问题是modelsim安装有问题,因为quartus安装包里面有两个modelsim,大概一个
是高级,还有一个是面向初学者版本的。我起初遇到同样的问题,我把原先装高级版的quartus卸载了,然后装了一个带初学者版本的modelsim,然后就有用了。
后来跑了一段时间又是这样的问题?
   也就是说,我现在只能看RTL电路,没有办法时序仿真了。那学习FPGA无法验证我的设计,又毛用?
   所以现在有两种办法:①:把modelsim_altera修好②:选择另外一种仿真方式。
   望诸君给予我莫大帮助,在下不胜感激。
问题2.jpg
问题1.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。