verilog 模块端口在调用时如何实现端口位宽可控

2019-07-15 21:36发布

比如说下面的这种情况会提示 BITWIDTH 在声明前被使用。
module P2S(
    input [BITWIDTH - 1 : 0] din,
    );
    parameter BITWIDTH = 16;
除了下面这种方法,有没有更好的方法
module P2S(din);
    parameter BITWIDTH = 16;
    input [BITWIDTH - 1 : 0] din;

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。