求助,quartus的tb调用modelsim,输入的波形正常,但是输出波形怎么都不变?

2019-07-15 21:41发布

初始化了0,1,输出就一直是0,1
不初始化,就一直是红线U

捕获.PNG
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。