VHDL 怎么定义整数数组和小数数组啊

2019-07-15 21:43发布

比如简单的以为数组我想定义成(3,13,22,3,4,5)这种,怎么定义成二维(1,3,4;2,5,4)
同上一维小数数组怎么定义,二维小数数组怎么定义
楼主新手,发现给的一维和二维例程都是逻辑的0和1 ,非常苦恼

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。