基于FPGA的拔河游戏机求代码

2019-07-15 21:43发布

咨询一个FPGA项目 难度不大的 拔河游戏机设计 QuartusII13.1 芯片是CycloneIII EP3C10E144C8
要求有:1、7个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
2、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按 一次,亮点移动一次。
3、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使 亮点恢复到中心。
4、 用数码管显示获胜者的盘数。
5、 设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。
6、用蜂鸣器在每一次有一方获取胜利时提示。
tips:1、 用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮。
2、 当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。
3、 由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止 计数。
4、 将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行 一次计数,这样得到双方取胜次数的显示。这个是实验课作业,但是一直做不出来,要结课了希望有大神能够帮忙,价钱可以商量,谢谢。
实验器材 实验器材
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。