有没有做过FPGA通过SPI对ADC进行读写操作?

2019-07-15 21:51发布

选了一款ADI公司的AD4000  2MSPS 16bitADC,看文档的时候 感觉里面给的时序很简单。
然后真正写的时候,就遇到好多麻烦。
我要使用AD4000的TURBO模式,因此,需要在开启ADC之后对ADC内的寄存器进行依次写操作,在写的同时也要读取数据。
我选择的使4线TURBO模式,无busy indicator。
有没有用过这款ADC的,可以把代码发给我借鉴一下?(673347062@qq.com




友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。