FPGA的一个信号怎么通过多个管脚输出?

2019-07-15 21:51发布

一个信号,要通过FPGA的不同引脚输出到不同的地方,该信号能够直接锁定到多个引脚吗?
还是要在程序里定义多个信号,把该信号赋值给这多个信号,然后每个信号分别锁定一个引脚?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。