我要在testbench中读入一个外部文件作为输入信号,在modelsim仿真中,显示的输入信号一直为 未知 求解中!

2019-07-15 21:55发布

2.png
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
12条回答
kyle5566
2019-07-16 03:40
火树花若燃 发表于 2017-5-23 18:36
你好,请问你是怎么解决的,方便贴一下代码吗?谢谢

cic cic1(
              .clk(clk),
                             .rst(rst),
                             .xin(xin),
                             .Yout(Yout),
                                  .rdy(rdy),
                                  .intout(intout),
                             .dout(dout),
                             .yt(yt)
               );
                                                  
        initial clk = 1;
        always#(`clk_period/2) clk = ~clk;
       
        initial
           begin
             rst = 0;
           #(`clk_period*5) rst = 1'b1;
           end
        initial begin
            $readmemb("D:/altera/cic/E6_8_sin_in.txt",data_mem);//将sin_in中数据读入存储器data_mem
                 xin = 0;
                 #(`clk_period*10)
                  for(i=0;i<=4000;i=i+1)
             @(posedge clk) xin = data_mem;
            //#(`clk_period*10)
                 $stop;
                end
               
//滤波器输出信号写入文件
initial        
   begin       
   fid = $fopen("D:/altera/cic/sin_out.txt");
        for(j=0;j<=800;j=j+1)
        @(negedge rdy)
   $fdisplay(fid,"%d",Yout);
        if(j == 800)
   $fclose(fid);
        end

一周热门 更多>