我要在testbench中读入一个外部文件作为输入信号,在modelsim仿真中,显示的输入信号一直为 未知 求解中!

2019-07-15 21:55发布

2.png
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。