verilog如何实现十进制数按个位十位拆开?

2019-07-15 22:01发布

verilog有没有什么方法把一个十进制数按个位十位拆开,不用除法和取余?求大神讲解
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。