组合逻辑进行时序仿真没有出现冒险竞争毛刺现象,求原因

2019-07-15 22:03发布

程序:
library ieee;
use ieee.std_logic_1164.all;
entity half_add is
    port (a           : in std_logic;
        b           : in std_logic;
        result     : out std_logic;
        c        : out std_logic    );
end entity;
architecture rtl of half_add is
begin
    result <= a xor b;
    c <= a and b;
end rtl;
时序仿真图形

a处于下降沿,b处于上升沿,输出信号c和result信号没有出现下图的情况,请问是什么原因呢?


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。