FPGA作为从机,与stm32进行spi通信时出现的 传输数据问题

2019-07-15 22:04发布

FPGA作为从机时,与STM32进行spi通信时出现的 传输01223456789,但stm32只能接收到02468.请问  只是什么问题呢。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。