用FPGA控制ADC芯片,延时应该怎么实现?

2019-07-15 22:11发布

现在要做FPGA控制ADS8344E这个芯片,实现A/D转换。可是看完时序图之后不知道该怎么下手,特别是需要延时的地方,
应该用状态机实现延时还是其他方法?请各位前辈不吝赐教。
BaiduShurufa_2016-11-23_22-21-34.png
BaiduShurufa_2016-11-23_22-21-47.png


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
5条回答
hwaming
2019-07-16 14:46
我用VHDL,用case:
process(clk)
begin
if clk'event and clk='1' then
    i<=i+1;
    case i is
       when 1 =>  ce<= '1';
       when 5 => sclk<='1';
       when 6=> date(0)<=io;sclk<='0';

       when 7 => sclk<='1';
       when 8=> date(1)<=io;sclk<='0';
       ………
       直到读完所有数据
       when 29=>ce<='0';i<=0;
       when others=>null;
  end case;
end if;

一周热门 更多>