FPGA应该怎样存储采集到的8个32位数据

2019-07-15 22:18发布

直接用8个32位寄存器存储可以吗,有什么优缺点?也可以存储到片上RAM吗?另外FIFO和FLASH也可以用吗?

请各位前辈指教一下,谢谢。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。