fpga作为从机与stm32进行spi通信

2019-07-15 22:19发布

正在做STM32FPGA的spi通信,通信测试基本完成但是出现了一下的一点问题。我用stm32的spi口给fpga发送一个字节的数据,然后将fpga采集到的数据作为输出,然后用Quartus II的signaltap看数据结果,如图 2.png 3.png 4.png 1.png 我最先将fpga采集到的数据r_data_byte在下一个状态赋给另一个寄存器data,但是当我进行测试时,刚开始第一个数据正常,但是当我修改stm32发送的数据后,signaltap看到的结果就不对了,同时置位标志位flag也异常。然后我就改为直接用r_data_byte作为输出,如图 7.png 5.png 6.png 这样发现,无论怎么改变stm32发送的数据,接收数据都是正确的,我就是不明白为什么前面一种情况,在下一个状态赋给另一个寄存器会出现错误,第一种情况的前后仿真时序都是正确的,请大家帮忙看看前面一种情况的问题出在哪里
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。