请教问题几行代码如何实现分频的

2019-07-15 22:42发布

process(clk,rst )
    begin
      if rst ='0' then
        count <= n-1;
      elsif rising_edge(clk) then

          count<=count-1;
           if count>=n/2 then
               q<='0';
            else
               q<='1';
            end if;
            if count<=0 then
              count<=n-1;
            end if;
          end if;
       end process;
请问这几句是如何实现分频的???
求大神解答!!

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。