求助quartus编译错误10500

2019-07-15 22:47发布

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
ENtiTY P2 IS
PORT
    (
      countdiv,en:IN        STD_LOGIC;
      rst        :IN        STD_LOGIC;
     );
BEGIN
PROCESS (countdiv,en)
BEGIN
   IF rst='1' THEN
      en<='0';
else
        IF countdiv="1111"  THEN
           clr<='1';
        ELSE
           clr<='0';
        END IF;
        IF countdiv<="0111" THEN
           en<='1';
        ELSE
           en<='0';
        END IF;
        END IF;
ceen<=en;
END PROCESS;
END P2;
10500.png
求助告诉我怎么改 谢谢

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。