求助:关于quartus II 和 modelsim 联合仿真设置问题

2019-07-15 22:57发布

在quartus 调用modelsim 的时候报错:Error (22035): Failed to launch NativeLink simulation (quartus_sh -t "e:/altera/13.0/quartus/common/tcl/internal/nativelink/qnativesim.tcl" --rtl_sim "counter" "counter")Info (22036): For messages from NativeLink execution see the NativeLink log file E:/A_Design/counter/pro/counter_nativelink_simulation.rpt
问题是已经按照联合仿真工具选项已经链接到modelsim路径上了………………


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。