vivado用(* MARK_DEBUG="true" *)抓信号问题请教

2019-07-15 22:59发布

为什么我想抓如下用(* MARK_DEBUG="true" *)定义的信号抓不到?

reg [7:0] raw_u_gauss[0:505];
(* MARK_DEBUG="true" *)wire [7:0] raw_u_gauss_0;
(* MARK_DEBUG="true" *)wire [7:0] raw_u_gauss_1;
(* MARK_DEBUG="true" *)wire [7:0] raw_u_gauss_2;
(* MARK_DEBUG="true" *)wire [7:0] raw_u_gauss_3;
(* MARK_DEBUG="true" *)wire [7:0] raw_u_gauss_22;
(* MARK_DEBUG="true" *)wire [7:0] raw_u_gauss_23;
(* MARK_DEBUG="true" *)wire [7:0] raw_u_gauss_24;
(* MARK_DEBUG="true" *)wire [7:0] raw_u_gauss_25;
assign raw_u_gauss_0 = raw_u_gauss[0];
assign raw_u_gauss_1 = raw_u_gauss[1];
assign raw_u_gauss_2 = raw_u_gauss[2];
assign raw_u_gauss_3 = raw_u_gauss[3];
assign raw_u_gauss_22 = raw_u_gauss[22];
assign raw_u_gauss_23 = raw_u_gauss[23];
assign raw_u_gauss_24 = raw_u_gauss[24];
assign raw_u_gauss_25 = raw_u_gauss[25];

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。