VHDL中怎么用语句实现在不同情况下对同一个信号赋不同值?

2019-07-15 23:12发布

比如实现五个按键功能,即一个复位按键,四个选择按键
当复位时,Q1,Q2分别赋初值;
   当 按下按键A,Q1加1;
       按下按键B,Q1减1;
       按下按键C,Q2加1;
       按下按键D,Q2减1;
我对A,B,C,D这四个触发用了四个进程,但是编译不通过,不能对同一信号赋值,希望前辈门帮帮忙,解决这个问题,在此先谢谢了
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
6条回答
a422723959
2019-07-16 11:26
对同一个信号肯定得在一个进程中赋值
always @(posedge clk or negedge rst_n)
        if(!rst_n)begin
                        Q1 <= 1'b0;
                        Q2 <= 1'b0;
                end
        else if(key_A == 1'b1)
                        Q1 <= Q1+1'b1;
        else if(key_B == 1'b1)
                        Q1 <= Q1-1'b1;
        else if(key_C == 1'b1)
                        Q2 <= Q2+1'b1;       
        else if(key_D == 1'b1)
                        Q2 <= Q2-1'b1;

一周热门 更多>