一段verilog程序,大家帮看一下 那里错了

2019-07-15 23:31发布

本帖最后由 elecfans跑堂 于 2015-9-14 09:20 编辑

module test;
reg a,b,c;
wire out;
`define aa a+b
`define cc c+`aa
begin
assign out=`cc;
$display("Q");
end
endmodule
错误是;.v(8): near "$display": syntax error, unexpected SYSTEM_IDENtiFIER
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。