Isim仿真输出波形始终为初值,各位大哥帮忙看看!

2019-07-15 23:37发布

小弟初学FPGA,用ISE试着写写流水灯的小实验,代码下到板子上可以实现功能。但是仿真 输出波形总是保持初值,不知道哪里出错了,特来求助。附图
源代码 源代码
仿真文件 仿真文件
波形图 波形图
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。