DS18B20用LCD1206和数码管显示温度

2019-07-16 00:00发布

本人初学FPGA写一个DS18B20测温用数码管和LCD162显示温度的程序,可是只能在数码管正确显示温度却不能在LCD1602正确显示,实验好多次不明原因,求大师帮助。如能解决感激不尽可以邮箱联系我384255016@qq.com
ds18b20lcd1602display.zip 下载积分: 积分 -1 分
1.4 MB, 下载次数: 126, 下载积分: 积分 -1 分
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。