求看看这个程序和仿真结果 3—8译码器 新人!

2019-07-16 00:09发布

本帖最后由 306216604 于 2015-2-14 12:29 编辑

为了方便没加使能端。。小弟刚学  希望能教教我  谢谢!!
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENtiTY decord3_8 IS
PORT(a,b,c:IN STD_LOGIC;
        output:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
        );
END decord3_8;

ARCHITECTURE beh OF decord3_8 IS
        SIGNAL data:STD_LOGIC_VECTOR(2 DOWNTO 0);
        BEGIN
        data<=a&b&c;
        PROCESS(data)
        BEGIN
        CASE data IS
                WHEN "000"=>output<="11111110";
                WHEN "001"=>output<="11111101";
                WHEN "010"=>output<="11111011";
                WHEN "011"=>output<="11110111";
                WHEN "100"=>output<="11101111";
                WHEN "101"=>output<="11011111";
                WHEN "110"=>output<="10111111";
                WHEN "111"=>output<="01111111";
                WHEN others=>output<=null;
        END CASE;
        END PROCESS;
        END beh;
小弟太菜 传不上图。。。唉 要哭了 ,我用的quartus ii, 功能仿真结果output一直是 11111110不变,a,b,c波形文件初值都是0,为什么Output会不变?麻烦高手了!很感谢!!
                                
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。