verilog语言中怎么实现从0计数到255再计回到0,以此循环呢?

2019-07-16 00:14发布

tica, Arial, sans-serif">verilog语言中怎么实现从0计数到255再计回到0,以此循环呢?
求大神提示啊 拜托拜托!!!

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
9条回答
烟火xxx
2019-07-16 11:18
reg [6:0]cnt;
always@(posedge clk or negedge rst_n)
begin
   if(!rst_n)
        begin
              cnt<=0;  
        end
  else
        begin
              if(cnt<255)
                 begin
                     cnt<=cnt+1;
                end
             else  
                begin
                     cnt<=cnt-32;
                 end
        end
end

一周热门 更多>