FPGA指示灯闪烁异常

2019-07-16 00:18发布

给板子做串口调试,连续上电断电七八次,所有FPGA指示灯都是正常闪烁,但是接下来就会出现某个LED灯亮着不闪,求大神告知该
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。