- library ieee;
- use ieee.std_logic_1164.all;
- entity vhdl1 is
- port (
- a: in std_logic_vector(7 downto 0);
- y :out std_logic
- );
- end vhdl1;
- architecture behav of vhdl1 is
- signal tmp:std_logic;
- begin
- process(a)
- begin
- tmp<='0';
- for i in 0 to 7 loop
- tmp<=tmp xor a(i);
- end loop;
- y<=tmp;
- end process;
- end behav;
复制代码
编译对了,但是
仿真结果不对
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
一周热门 更多>