Quartus ii 与Modelsim的联合仿真遇到的问题-nativelink error

2019-07-16 00:28发布

求助,为什么会出现这种错误 怎么去解决???路径什么的我都是设置的正确的
error.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。