modelsim的调试问题

2019-07-16 00:31发布

下面的程序哪里有问题?

`timescale 1ns/1ns
module test;
reg clk,rst_n,rs232_rx,rs232_tx;
my_uart_top    uart_top(clk,rst_n,rs232_rx,rs232_tx);
initial
begin
clk = 0;
rst_n = 0; #100 rst_n =1;
forever
#30 clk = ~clk;
end

begin
repeat(10)
begin
#100 rs232_rx = 1'h1;
#100 rs232_rx = 1'h1;
end
end

#2000 $finish
endmodule

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。