第一个VHDL代码无法编译通过,求大侠帮助编译

2019-07-16 00:35发布

--使用max plus II,选择了EPM7128SLC84-6,我的MAXPLUS里没有EPM7128SLC84-10可以选择。
LIBRARY ieee;
USE ieee.std_logic_1164.all;

ENtiTY nand2 IS

        PORT
        (
                a        : IN        STD_LOGIC;
                b        : IN        STD_LOGIC;
                y        : OUT         STD_LOGIC
        );
        
END nand2;

ARCHITECTURE nand2_1 OF nand2 IS

        
BEGIN

y <=a nand b;

        
END nand2_1;

错误信息提示,说是第四行错误,TDF语法错误。我跟书上一致的代码。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。