Modelsim仿真时候的data信号输入问题

2019-07-16 00:43发布

我在仿真时候一个16位的寄存器需要输入大量相应的信号,我看到有可以读取文本文件的方法来产生输入数据:读取文本文件:用 $readmemb系统任务从文本文件中读取二进制向量(可以包含输入激励和输出期望值)。$readmemh 用于读取十六进制文件。例如:
reg [7:0] mem[1:256] // a 8-bit, 256-word 定义存储器mem
initial $readmemh ( "mem.data", mem ) // 将.dat文件读入寄存器mem中
initial $readmemh ( "mem.data", mem, 128, 1 ) // 参数为寄存器加载数据的地址始终

自己怎么编写men.data这样的数据文档?生成以后放在simulation目录下?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。