由于是毕业设计,就尝试自己独立完成。发现自己能力有限,还要请各位大神帮忙。
现在遇到的难题是:library ieee;
use ieee.std_logic_1164.all;
en
tity rom_16_8 is
generic(n:integer:=16);
port(addr: in integer range 0 to n-1;
clk: in std_logic;
data: out std_logic_vector(7 downto 0));
end rom_16_8;
architecture rtl of rom_16_8 is
subtype rom_word is std_logic_vector(7 downto 0);
type rom_table is array(0 to 15) of rom_word;
constant rom :rom_table:=rom_table'(
rom_word'("00000000"),
rom_word'("00000100"),
rom_word'("00001000"),
rom_word'("00001100"),
rom_word'("00000001"),
rom_word'("00000101"),
rom_word'("00001001"),
rom_word'("00001101"),
rom_word'("00000010"),
rom_word'("00000110"),
rom_word'("00001010"),
rom_word'("00001110"),
rom_word'("00000011"),
rom_word'("00000111"),
rom_word'("00001011"),
rom_word'("00001111"));
begin process(clk)
begin
if clk'event and clk='1' then
data<=rom(addr);
end if;
end process;
end rtl;
怎么把这个4*4的ROM改为16*16的ROM,有什么什么简单的方法,最好大神帮改的程序交给我。有大神愿意帮我做,我也可以向你买程序。愿意的加我扣扣:312521152.
一周热门 更多>