原理图输入法

2019-07-16 00:46发布

本帖最后由 psi1023 于 2014-4-26 20:27 编辑

设计一个cpu。   每个模块编译仿真都是正确的,但采用原理图输入法连在一起后,再检测仿真时对模块的输出检测总是错误,例如节拍器状态转换,本来顺序是100,000,111但现在变成100,XX1,XXX   请问这是为什么??非常感谢


示例 示例
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。