library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; en
tity mux2 is generic (n:integer:=16); port (d0:in std_logic_vector(7 downto 0); d1: in integer range 0 to n-1; sel:in std_logic; yout: out std_logic_vector(7 downto 0)); end mux2; architecture if_march of mux2 is begin process(d0,d1,sel) begin if(sel='1') then yout<=conv_std_logic_vector(d1,8); else yout<=d0; end if; end process; end if_march;
这是教科书上的一个程序,为什么我怎么
仿真都得不到这个结果?这是一个二选一的选择器,是程序错误还是我不会仿真,求指导一下。
一周热门 更多>