求助 在quartus里面运行的程序 在ise里面就出现问题了

2019-07-16 00:54发布

我这边做了个程序, 在quartus里面仿真没有任何问题,但到了ise里面之后,编译没有问题,但到了仿真的时候,就感觉好像程序没有在运行一样。
就连一个简单的分频模块,也没有正常,只剩下自己设定的时钟信号,分频输出永远是0
困扰了好几天,整个人处于抓狂状态
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。