关于altera FFT IP核 matlab 仿真问题请教

2019-07-16 01:07发布

本帖最后由 Laputa_fly 于 2013-11-23 13:46 编辑

用quartus9.0调用了altera FFT IP 核 生成了modisim 和 matlab 的仿真文件。用modelsim 仿真有结果。但是按照官方的使用说明用matlab仿真时出现问题。请大家帮忙解决一下。谢谢!

modelsim 仿真有数据输出.png
生成IP是伴随生成的仿真代码.png
运行时出错界面.png
matlab 的仿真文件 matlab 的仿真文件
运行出错 运行出错
modelsim仿真 modelsim仿真
FFT_modul 函数 FFT_modul 函数
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。