使用quartusII fir ip核没有输出?

2019-07-16 01:24发布

tica, sans-serif, 宋体">我们使用quartusII的fir ip核生成了一个滤波器,用AD采集了单频正弦信号输入,可是不管输入频率多少,滤波器的输出端口都没有输出,不知道这是为什么啊?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
8条回答
蔡大魔王
2019-07-16 07:32
我把生成的fir.v进行了一点儿修改,如下(fenpin是我自己写的一个module,经测试输出的clk1和和clk2都没有问题):
  1. module fir (
  2.         clk,
  3.         reset_n,
  4.         ast_sink_data,
  5.         ast_source_data,
  6.         ast_sink_ready,
  7.         ast_source_valid,
  8.         ast_source_error,
  9.         clk1,
  10.         clk2);


  11.         input                clk;
  12.         input                reset_n;
  13.         input        [11:0]        ast_sink_data;
  14.         reg                ast_sink_valid=0;
  15.         reg                ast_source_ready=0;
  16.         reg        [1:0]        ast_sink_error=00;
  17.         output        [13:0]        ast_source_data;
  18.         output                ast_sink_ready;
  19.         output                ast_source_valid;
  20.         output        [1:0]        ast_source_error;
  21.         output clk1,clk2;
  22.        
  23.         fenpin #(625,1,1) fp(clk,clk1);
  24.         assign clk2=clk1;
  25.        
  26.         initial
  27.                 begin
  28.                 #10        ast_sink_valid<=1;
  29.                                 ast_source_ready<=1;
  30.                 end       

  31.         fir_ast        fir_ast_inst(
  32.                 .clk(clk),
  33.                 .reset_n(reset_n),
  34.                 .ast_sink_data(ast_sink_data),
  35.                 .ast_sink_valid(ast_sink_valid),
  36.                 .ast_source_ready(ast_source_ready),
  37.                 .ast_sink_error(ast_sink_error),
  38.                 .ast_source_data(ast_source_data),
  39.                 .ast_sink_ready(ast_sink_ready),
  40.                 .ast_source_valid(ast_source_valid),
  41.                 .ast_source_error(ast_source_error));
  42. endmodule
复制代码

一周热门 更多>