求20位的bcd码转16位的二进制 vhdl代码?

2019-07-16 01:26发布

  各位大侠给小弟一个20位的bcd码转16位的二进制 vhdl代码,非常感谢
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。