vhdl实现16进制数与bcd的互相转化?

2019-07-16 01:34发布

求助各位大神如何把一个十六进制的数转化成相应的bcd码,又如何从bcd码转化成十六进制 这个用vhdl语言实现?谢谢
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。