<深入浅出玩转FPGA>按键消抖实验问题

2019-07-16 01:39发布

module sw_debounce(
      clk,rst_n,
      sw1_n,sw2_n,sw3_n,
      led_d1,led_d2,led_d3
);
      input clk; //主时钟信号,50MHz
      input rst_n; //复位信号,低有效
      input sw1_n,sw2_n,sw3_n; //三个独立按键,低表示按下
      output led_d1,led_d2,led_d3; //发光二极管,分别由按键控制
//---------------------------------------------------------------------------
      reg[2:0] key_rst;
      always @(posedge clk or negedge rst_n)
            if (!rst_n) key_rst <= 3'b111;
            else key_rst <= {sw3_n,sw2_n,sw1_n};
      reg[2:0] key_rst_r; //每个时钟周期的上升沿将low_sw 信号锁存到low_sw_r 中
      always @ ( posedge clk or negedge rst_n )
           if (!rst_n) key_rst_r <= 3'b111;
          else key_rst_r <= key_rst;
//当寄存器key_rst 由1 变为0 时,led_an 的值变为高,维持一个时钟周期
      wire[2:0] key_an = key_rst_r & ( ~key_rst);
//---------------------------------------------------------------------------
      reg[19:0] cnt; //计数寄存器
      always @ (posedge clk or negedge rst_n)
              if (!rst_n) cnt <= 20'd0; //异步复位
              else if(key_an) cnt <=20'd0;
              else cnt <= cnt + 1'b1;
      reg[2:0] low_sw;
      always @(posedge clk or negedge rst_n)
              if (!rst_n) low_sw <= 3'b111;
              else if (cnt == 20'hfffff)
//满20ms,将按键值锁存到寄存器low_sw 中 cnt == 20'hfffff
              low_sw <= {sw3_n,sw2_n,sw1_n};
//---------------------------------------------------------------------------
       reg [2:0] low_sw_r; //每个时钟周期的上升沿将low_sw 信号锁存到low_sw_r 中
       always @ ( posedge clk or negedge rst_n )
              if (!rst_n) low_sw_r <= 3'b111;
             else low_sw_r <= low_sw;
//当寄存器low_sw 由1 变为0 时,led_ctrl 的值变为高,维持一个时钟周期
       wire[2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);
       reg d1;
       reg d2;
       reg d3;
       always @ (posedge clk or negedge rst_n)
              if (!rst_n) begin
                     d1 <= 1'b0;
                     d2 <= 1'b0;
                     d3 <= 1'b0;
              end
             else begin //某个按键值变化时,LED 将做亮灭翻转
                    if ( led_ctrl[0] ) d1 <= ~d1;
                    if ( led_ctrl[1] ) d2 <= ~d2;
                    if ( led_ctrl[2] ) d3 <= ~d3;
               end

        assign led_d3 = d1 ? 1'b1 : 1'b0; //LED 翻转输出
        assign led_d2 = d2 ? 1'b1 : 1'b0;
        assign led_d1 = d3 ? 1'b1 : 1'b0;
endmodule

[img]file:///C:UsersDDJAppDataRoamingTencentUsers1483365757QQWinTempRichOle}SP}U5@6M(S%I$95XED@ZAX.jpg[/img]
关于这个实验有两个疑问:
      1.第一个连续赋值语句的功能应该是当检测到按键按下的动作时key_an为高脉冲,此时计数寄存器清零。然而后面的解释却解释成"一旦发现按键出现从0到1的释放抖动,就对计数器寄存清零",这里不太理解?
      2.第二个连续赋值诗句的注释是“当寄存器low_sw 由1 变为0 时,led_ctrl 的值变为高,维持一个时钟周期 ”,然而后面的解释是“当键值由0跳变到1时,(按键释放时)才可能把led_ctrl拉高“,如果是第二个解释,那么语句是不是应该改成  wire[2:0] led_ctrl = (~low_sw_r[2:0]) & low_sw[2:0]; 才对呢?
}SP}U5@6M(S%I$95XED@ZAX.jpg
~78~283M~M)6P9H5KWA8ZB4.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。