fpga钱接上的AD转换器要怎么接?

2019-07-16 01:41发布

FPGA处理的是数字信号,就需要把模拟信号转换成数字信号才能输入到fpga中,那用什么型号的AD转换芯片合适?还有,AD转换芯片是可以直接接到FPGA上吗?求指导啊
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
9条回答
计硕在吉大
2019-07-16 02:55
cleusewitz 发表于 2013-5-3 08:12
芯片型号要看你自己需求 要多少位的 多少精度的 多少通道的 是并行还是串行的 然后才能确定,转换的结果一 ...

能给一些容易懂的AD转换资料吗 ?我是刚接触这些东西,数电也是刚刚学,现在看这些东西很费力啊

一周热门 更多>