fpga钱接上的AD转换器要怎么接?

2019-07-16 01:41发布

FPGA处理的是数字信号,就需要把模拟信号转换成数字信号才能输入到fpga中,那用什么型号的AD转换芯片合适?还有,AD转换芯片是可以直接接到FPGA上吗?求指导啊
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。