关于Quartus II中ROM初始化数据.mif格式文件,在Modelsim中仿真...

2019-07-16 01:49发布

各位大哥;
      小弟最近刚学FPGA,有个问题不懂,希望各位路过的大哥不吝赐教!
      我在Quartus II中调用了一个lpm_rom,用存放正弦波数值的.mif 格式文件去初始化rom.编好了 testbench,编译没问题,但用Modelsim仿真时,出现了下列问题
ERROR: rom1.mif, line 3, Invalid assignment (decimal) to address radix.
# A time value could not be extracted from the current line
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
15条回答
huancan
2019-07-16 06:43
南盗 发表于 2013-3-24 18:25
不知道你的mif文件是否正确初始化rom呢
用quartus打开mif看看

这位朋友,你好!
我用Quartus 打开看了mif文件,文件就是一个表格,对应的内容是rom的地址位和十进制的用MATLAB仿真出的正弦波离散值。
我看Modelsim中仿真出现的问题说,mif文件第三行有问题,但始终没看懂问题出在哪。


mif文件部分截图

mif文件部分截图

一周热门 更多>