wait for实现一个时钟产生电路,但提示错误,请大哥们看看

2019-07-16 01:52发布

程序如下:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENtiTY clkgenerator IS
    PORT(clk:out std_logic);
END ENTITY clkgenerator;
ARCHITECTURE one OF clkgenerator IS
  begin
    process
     begin
      wait for 200 ns;
        clk<='0';
      wait for 200 ns;
        clk<='1';
    end process;
end one;  

可在quartus ii 9.0中编译时出现以下错误信息:
Error (10533): VHDL Wait Statement error at clkgenerator.vhd(10): Wait Statement must contain condition clause with UNTIL keyword,看它的意思是wait 好像要有关键字until联用,但是我就是想用wait for来实现,不知问题出在哪里 。请各位大侠看看。谢谢,不胜感激。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。