求修改这段程序

2019-07-16 02:00发布

      设计要求:能够将4×3键盘上的数字0_9显示到LED数码管,按下4×3键盘上的一个数字0_9键时, LED数码管上能够显示对应按键数字。
     自己编了一段程序,程序没错,但得不出结果,求帮忙修改!程序如下:
module led(clk,rst,led_out,key_in);
    input clk,rst;
    input [9:0] key_in;
    output [7:0] led_out;
    reg [7:0] led_out;
    reg [19:0] cnt;
    always @(posedge clk or negedge rst)
      if(!rst)
         cnt <= 20'd0;
      else
         cnt <= cnt + 1'b1;
reg [9:0] low_key;
    always @(posedge clk or negedge rst)
      if(!rst)
        low_key<=10'hff3;
      else if(cnt==20'hfffff)
low_key={key_in[0],key_in[1],key_in[2],key_in[3],key_in[4],key_in[5],key_in[6],key_in[7],key_in[8],key_in[9]};
reg [9:0] low_key_Y;
    always @(posedge clk or negedge rst)
      if(!rst)
        low_key_Y<=10'hff3;
      else
low_key_Y<=low_key;
wire [9:0] led_DD2=low_key_Y[9:0]&(low_key[9:0]);
    always @(posedge clk or negedge rst)
      if(!rst)
begin
  case(low_key)
10'b0000000001 : led_out=7'b1111001;
10'b0000000010 : led_out=7'b0100100;
10'b0000000100 : led_out=7'b0110000;
10'b0000001000 : led_out=7'b0011001;
10'b0000010000 : led_out=7'b0010010;
10'b0000100000 : led_out=7'b0000010;
10'b0001000000 : led_out=7'b1111000;
10'b0010000000 : led_out=7'b0000000;
10'b0100000000 : led_out=7'b0010000;
10'b1000000000 : led_out=7'b1000000;
       default : led_out=7'b1111111;
  endcase
  end
endmodule
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。